All Logic Gates VHDL Code
This page of VHDL source code section covers All Logic Gates VHDL Code.
All Logic Gates VHDL Code
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity gates is
port (a_in,b_in: in std_logic;
not_op,and_op,nand_op,or_op,nor_op,xor_op,xnor_op:
out std_logic);
end gates;
architecture dataflow of gates is
begin
not_op<= not a_in;
and_op<= a_in and b_in;
nand_op<= a_in nand b_in;
or_op<= a_in or b_in;
nor_op<= a_in nor b_in;
xor_op<= a_in xor b_in;
xnor_op<= a_in xnor b_in;
end ;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity gates is
port (a_in,b_in: in std_logic;
not_op,and_op,nand_op,or_op,nor_op,xor_op,xnor_op:
out std_logic);
end gates;
architecture dataflow of gates is
begin
not_op<= not a_in;
and_op<= a_in and b_in;
nand_op<= a_in nand b_in;
or_op<= a_in or b_in;
nor_op<= a_in nor b_in;
xor_op<= a_in xor b_in;
xnor_op<= a_in xnor b_in;
end ;
USEFUL LINKS to VHDL CODES
Refer following as well as links mentioned on left side panel for useful VHDL codes.
D Flipflop
T Flipflop
Read Write RAM
4X1 MUX
4 bit binary counter
Radix4 Butterfly
16QAM Modulation
2bit Parallel to serial
USEFUL LINKS to Verilog Codes
Following are the links to useful Verilog codes.
Low Pass FIR Filter
Asynchronous FIFO design with verilog code
D FF without reset
D FF synchronous reset
1 bit 4 bit comparator
All Logic Gates
RF and Wireless tutorials
WLAN 802.11ac 802.11ad wimax Zigbee z-wave GSM LTE UMTS Bluetooth UWB IoT satellite Antenna RADAR