4 Bit BCD Synchronous Reset Counter VHDL Code

This page of VHDL source code section covers 4 Bit BCD Synchronous Reset Counter VHDL Code. The block diagram and truth table of 4 Bit BCD Synchronous Reset Counter VHDL Code is also mentioned.

Block Diagram of 4 Bit BCD Synchronous Reset Counter


4 Bit BCD Synchronous Reset Counter Block Diagram

Truth Table of 4 Bit BCD Synchronous Reset Counter


4 Bit BCD Synchronous Reset Counter Truth Table

4 Bit BCD Synchronous Reset Counter VHDL Code

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity bcd_syn is
port (clk, rst: in std_logic;
bcd_out: out std_logic_vector(3 downto o));
end bin_syn;
architecture behavioral of bin_syn is
signal div:std_logic_vector(22 downto 0);
signal clkdiv:std_logic;
begin
process (clk)
begin
if rising_edge(clk) then
div<=div+1;
endif;
end process;
clkdiv<=div(22);
process (clkdiv)
variable temp:std_logic_vector(3 downto 0);
begin
if (rising_edge(clkdiv))then
if (rst='0' or temp= "1001") then
temp:="0000";
else
temp:=temp+'1';
end if;
end if;
end process;
bcd_out<=temp;
end ;


USEFUL LINKS to VHDL CODES

Refer following as well as links mentioned on left side panel for useful VHDL codes.
D Flipflop
T Flipflop
Read Write RAM
4X1 MUX
4 bit binary counter
Radix4 Butterfly
16QAM Modulation
2bit Parallel to serial

USEFUL LINKS to Verilog Codes

Following are the links to useful Verilog codes.
Low Pass FIR Filter
Asynchronous FIFO design with verilog code
D FF without reset
D FF synchronous reset
1 bit 4 bit comparator
All Logic Gates

RF and Wireless tutorials

WLAN  802.11ac  802.11ad  wimax  Zigbee  z-wave  GSM  LTE  UMTS  Bluetooth  UWB  IoT  satellite  Antenna  RADAR